(file) Return to gen_run_info.cmn CVS log (file) (dir) Up to [HallC] / Analyzer / INCLUDE

 1 cdaq  1.1 **************************begin: gen_run_info.cmn ***********************
 2           *- 
 3           *-   Created   22-Apr-1994   Kevin B. Beard, Hampton Univ.
 4 cdaq  1.2 * $Log: gen_run_info.cmn,v $
 5 cdaq  1.5 * Revision 1.4  1995/01/31  15:52:04  cdaq
 6           * (SAW) Add gen_run_hist_dump_interval for in run hist dumping
 7           *
 8 cdaq  1.4 * Revision 1.3  1994/10/20  14:19:12  cdaq
 9           * (SAW) Add accumulators for analyzed event counts ("May process")
10           *
11 cdaq  1.3 * Revision 1.2  1994/08/03  20:11:50  cdaq
12           * (SAW) Add "CTPTYPE=parm" directive for auto generation of CTP reg calls
13           *
14 cdaq  1.2 * Revision 1.1  1994/05/27  15:12:47  cdaq
15           * Initial revision
16           *
17 cdaq  1.1 *........................................................................
18           *- Misc. info. about a run
19 cdaq  1.2 *
20           *     CTPTYPE=parm
21 cdaq  1.1 *
22                 INTEGER gen_run_number,gen_run_type
23                 INTEGER gen_run_total_events             !reported by CODA
24                 INTEGER gen_run_UTC_start,gen_run_UTC_stop,gen_run_UTC_last
25                 CHARACTER*80 gen_run_date_start,gen_run_date_stop
26                 CHARACTER*80 gen_run_date_last
27                 CHARACTER*800 gen_run_comment
28           *
29                 COMMON /gen_run_info/ gen_run_number,gen_run_type,
30                &         gen_run_total_events,gen_run_UTC_start,gen_run_UTC_stop,
31                &          gen_run_UTC_last,gen_run_date_start,gen_run_date_stop,
32                &           gen_run_date_last,gen_run_comment
33           *
34                 INTEGER gen_MAX_trigger_types
35                 PARAMETER (gen_MAX_trigger_types= 15)
36           *-triggers to process
37 cdaq  1.5       INTEGER gen_run_enable(0:gen_MAX_trigger_types)
38 cdaq  1.1 *-triggers found
39                 INTEGER gen_run_triggered(0:gen_MAX_trigger_types)
40 cdaq  1.3       INTEGER gen_run_analyzed(0:gen_MAX_trigger_types)
41 cdaq  1.4       integer gen_run_hist_dump_interval
42           *      
43 cdaq  1.1       INTEGER gen_run_starting_event,gen_run_stopping_event
44                 COMMON /gen_run_cntrl/ gen_run_starting_event,
45                &                        gen_run_stopping_event,
46                &                         gen_run_enable,gen_run_triggered
47 cdaq  1.4      $     ,gen_run_analyzed,gen_run_hist_dump_interval
48 cdaq  1.1 *
49           ****************************end: gen_run_info.cmn ***********************
50 cdaq  1.4 
51           
52           
53           

Analyzer/Replay: Mark Jones, Documents: Stephen Wood
Powered by
ViewCVS 0.9.2-cvsgraph-1.4.0